Thursday, October 27, 2016

UVM Report.


  • Formatting
    • $sformat (str, "%m : a = $2h", a);
    • $timeformat (-9, 2, " ps", 4);
    • timeunit 100ps;
    • timeprecision 10ps;
  • System Calls
    • $display
    • $fdisplay - to write to files.
    • $time, $stime, $realtime,
  • Opening Files
    • integer fha = $fopen("fileaname");
    • integer fhb = $fopen("filebname");
    • integer fhboth = filea | fileb;
    • $fdisplay (fha, "string");
    • $fclose (fhboth);
  • UVM_macros for Reporting
    • $display (`__FILE__);
    • `uvm_info ("message_ID", "This is info message", verbose_level, UVM_INFO);
    • `uvm_warning ("message_ID", "message", Severity);
    • `uvm_error ("message_ID", "message", Severity);
    • `uvm_fatal ("message_ID", "message", Severity);
    • Each reporting method call gets a verbosity number
    • The object has a reporting verbosity number
    • The method acts only if its verbosity number is below the object's verbosity number
    • inst.set_report_verbosity_level_hier (800);
  • UVM Report Control
    • Verbosity level set
    • Reporting methods for actions
      • in end_of_elaboration_phase
      • UVM_DISPLAY
      • UVM_LOG - write to a file
        • Open the file(s) and get the MCDs
        • Set the logging action on the ID's
        • Attach the MCDs to the severities or ID's
          • set_report_default_file() 
          • set_report_id_file ("printer", printer_file);
          • set_report_severity_action
            (UVM_WARNING, UVM_DISPLAY | UVM_LOG);
          • set_report_severity_file (UVM_WARNING, warning_file);
          • dump_report_state();
          • set_report_max_quit_count (10);
      • UVM_COUNT
      • UVM_EXIT
      • UVM_CALL_HOOK - call user defined method
      • UVM_STOP
    • Reporting action controls
      • set_severity_action (severity sv, action a)
      • set_id_action (string id, action a)
      • set_severity_id_action (severity sv, string id, action a)
      • set_severity_action_hier (severity sv, action a)
      • set_id_action_hier (string id, action a)
      • set_severity_id_action_hier (severity sv, string id, action a)
      • Default:
        • UVM_INFO            : UVM_DISPLAY
        • UVM_WARNING  : UVM_DISPLAY
        • UVM_ERROR        : UVM_DISPLAY  |  UVM_COUNT
        • UVM_FATAL         : UVM_DISPLAY  |  UVM_EXIT
    • File ID

No comments:

Post a Comment